发布网友
共3个回答
热心网友
constant q : std_logic_vector( 6 downto 0) :="1010010";
dout<=dout1(6);
思路不咋对;可以用状态机来写;!比较好写;又清晰
热心网友
library ieee;
后要有引用子库:
use ieee.std_logic_11.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
等子库
热心网友
这个用状态机是最佳 的选择!